enum TokenKind

Description

Provides a simple uniform namespace for tokens from all C languages.

Declared at: clang/include/clang/Basic/TokenKinds.h:25

Enumerators

NameValueComment
unknown0
eof1
eod2
code_completion3
comment4
identifier5
raw_identifier6
numeric_constant7
char_constant8
wide_char_constant9
utf8_char_constant10
utf16_char_constant11
utf32_char_constant12
string_literal13
wide_string_literal14
header_name15
utf8_string_literal16
utf16_string_literal17
utf32_string_literal18
l_square19
r_square20
l_paren21
r_paren22
l_brace23
r_brace24
period25
ellipsis26
amp27
ampamp28
ampequal29
star30
starequal31
plus32
plusplus33
plusequal34
minus35
arrow36
minusminus37
minusequal38
tilde39
exclaim40
exclaimequal41
slash42
slashequal43
percent44
percentequal45
less46
lessless47
lessequal48
lesslessequal49
spaceship50
greater51
greatergreater52
greaterequal53
greatergreaterequal54
caret55
caretequal56
pipe57
pipepipe58
pipeequal59
question60
colon61
semi62
equal63
equalequal64
comma65
hash66
hashhash67
hashat68
periodstar69
arrowstar70
coloncolon71
at72
lesslessless73
greatergreatergreater74
caretcaret75
kw_auto76
kw_break77
kw_case78
kw_char79
kw_const80
kw_continue81
kw_default82
kw_do83
kw_double84
kw_else85
kw_enum86
kw_extern87
kw_float88
kw_for89
kw_goto90
kw_if91
kw_inline92
kw_int93
kw__ExtInt94
kw__BitInt95
kw_long96
kw_register97
kw_restrict98
kw_return99
kw_short100
kw_signed101
kw_sizeof102
kw_static103
kw_struct104
kw_switch105
kw_typedef106
kw_union107
kw_unsigned108
kw_void109
kw_volatile110
kw_while111
kw__Alignas112
kw__Alignof113
kw__Atomic114
kw__Bool115
kw__Complex116
kw__Generic117
kw__Imaginary118
kw__Noreturn119
kw__Static_assert120
kw__Thread_local121
kw___func__122
kw___objc_yes123
kw___objc_no124
kw_asm125
kw_bool126
kw_catch127
kw_class128
kw_const_cast129
kw_delete130
kw_dynamic_cast131
kw_explicit132
kw_export133
kw_false134
kw_friend135
kw_mutable136
kw_namespace137
kw_new138
kw_operator139
kw_private140
kw_protected141
kw_public142
kw_reinterpret_cast143
kw_static_cast144
kw_template145
kw_this146
kw_throw147
kw_true148
kw_try149
kw_typename150
kw_typeid151
kw_using152
kw_virtual153
kw_wchar_t154
kw_alignas155
kw_alignof156
kw_char16_t157
kw_char32_t158
kw_constexpr159
kw_decltype160
kw_noexcept161
kw_nullptr162
kw_static_assert163
kw_thread_local164
kw_concept165
kw_requires166
kw_co_await167
kw_co_return168
kw_co_yield169
kw_module170
kw_import171
kw_consteval172
kw_constinit173
kw_char8_t174
kw__Float16175
kw__Accum176
kw__Fract177
kw__Sat178
kw__Decimal32179
kw__Decimal64180
kw__Decimal128181
kw___null182
kw___alignof183
kw___attribute184
kw___builtin_choose_expr185
kw___builtin_offsetof186
kw___builtin_FILE187
kw___builtin_FUNCTION188
kw___builtin_LINE189
kw___builtin_COLUMN190
kw___builtin_source_location191
kw___builtin_types_compatible_p192
kw___builtin_va_arg193
kw___extension__194
kw___float128195
kw___ibm128196
kw___imag197
kw___int128198
kw___label__199
kw___real200
kw___thread201
kw___FUNCTION__202
kw___PRETTY_FUNCTION__203
kw___auto_type204
kw_typeof205
kw___FUNCDNAME__206
kw___FUNCSIG__207
kw_L__FUNCTION__208
kw_L__FUNCSIG__209
kw___is_interface_class210
kw___is_sealed211
kw___is_destructible212
kw___is_trivially_destructible213
kw___is_nothrow_destructible214
kw___is_nothrow_assignable215
kw___is_constructible216
kw___is_nothrow_constructible217
kw___is_assignable218
kw___has_nothrow_move_assign219
kw___has_trivial_move_assign220
kw___has_trivial_move_constructor221
kw___has_nothrow_assign222
kw___has_nothrow_copy223
kw___has_nothrow_constructor224
kw___has_trivial_assign225
kw___has_trivial_copy226
kw___has_trivial_constructor227
kw___has_trivial_destructor228
kw___has_virtual_destructor229
kw___is_abstract230
kw___is_aggregate231
kw___is_base_of232
kw___is_class233
kw___is_convertible_to234
kw___is_empty235
kw___is_enum236
kw___is_final237
kw___is_literal238
kw___is_pod239
kw___is_polymorphic240
kw___is_standard_layout241
kw___is_trivial242
kw___is_trivially_assignable243
kw___is_trivially_constructible244
kw___is_trivially_copyable245
kw___is_union246
kw___has_unique_object_representations247
kw___underlying_type248
kw___is_trivially_relocatable249
kw___reference_binds_to_temporary250
kw___is_lvalue_expr251
kw___is_rvalue_expr252
kw___is_arithmetic253
kw___is_floating_point254
kw___is_integral255
kw___is_complete_type256
kw___is_void257
kw___is_array258
kw___is_function259
kw___is_reference260
kw___is_lvalue_reference261
kw___is_rvalue_reference262
kw___is_fundamental263
kw___is_object264
kw___is_scalar265
kw___is_compound266
kw___is_pointer267
kw___is_member_object_pointer268
kw___is_member_function_pointer269
kw___is_member_pointer270
kw___is_const271
kw___is_volatile272
kw___is_signed273
kw___is_unsigned274
kw___is_same275
kw___is_convertible276
kw___array_rank277
kw___array_extent278
kw___private_extern__279
kw___module_private__280
kw___declspec281
kw___cdecl282
kw___stdcall283
kw___fastcall284
kw___thiscall285
kw___regcall286
kw___vectorcall287
kw___forceinline288
kw___unaligned289
kw___super290
kw___global291
kw___local292
kw___constant293
kw___private294
kw___generic295
kw___kernel296
kw___read_only297
kw___write_only298
kw___read_write299
kw___builtin_astype300
kw_vec_step301
kw_image1d_t302
kw_image1d_array_t303
kw_image1d_buffer_t304
kw_image2d_t305
kw_image2d_array_t306
kw_image2d_depth_t307
kw_image2d_array_depth_t308
kw_image2d_msaa_t309
kw_image2d_array_msaa_t310
kw_image2d_msaa_depth_t311
kw_image2d_array_msaa_depth_t312
kw_image3d_t313
kw_pipe314
kw_addrspace_cast315
kw___noinline__316
kw___builtin_omp_required_simd_align317
kw___pascal318
kw___vector319
kw___pixel320
kw___bool321
kw___bf16322
kw_half323
kw___bridge324
kw___bridge_transfer325
kw___bridge_retained326
kw___bridge_retain327
kw___covariant328
kw___contravariant329
kw___kindof330
kw__Nonnull331
kw__Nullable332
kw__Nullable_result333
kw__Null_unspecified334
kw___ptr64335
kw___ptr32336
kw___sptr337
kw___uptr338
kw___w64339
kw___uuidof340
kw___try341
kw___finally342
kw___leave343
kw___int64344
kw___if_exists345
kw___if_not_exists346
kw___single_inheritance347
kw___multiple_inheritance348
kw___virtual_inheritance349
kw___interface350
kw___builtin_convertvector351
kw___builtin_bit_cast352
kw___builtin_available353
kw___builtin_sycl_unique_stable_name354
kw___unknown_anytype355
annot_cxxscope356
annot_typename357
annot_template_id358
annot_non_type359
annot_non_type_undeclared360
annot_non_type_dependent361
annot_overload_set362
annot_primary_expr363
annot_decltype364
annot_pragma_unused365
annot_pragma_vis366
annot_pragma_pack367
annot_pragma_parser_crash368
annot_pragma_captured369
annot_pragma_dump370
annot_pragma_msstruct371
annot_pragma_align372
annot_pragma_weak373
annot_pragma_weakalias374
annot_pragma_redefine_extname375
annot_pragma_fp_contract376
annot_pragma_fenv_access377
annot_pragma_fenv_access_ms378
annot_pragma_fenv_round379
annot_pragma_float_control380
annot_pragma_ms_pointers_to_members381
annot_pragma_ms_vtordisp382
annot_pragma_ms_pragma383
annot_pragma_opencl_extension384
annot_attr_openmp385
annot_pragma_openmp386
annot_pragma_openmp_end387
annot_pragma_loop_hint388
annot_pragma_fp389
annot_pragma_attribute390
annot_pragma_riscv391
annot_module_include392
annot_module_begin393
annot_module_end394
annot_header_unit395
NUM_TOKENS396